/////////////////////////////////////////////////////////////////////////////// // Copyright (c) 1995/2018 Xilinx, Inc. // All Right Reserved. /////////////////////////////////////////////////////////////////////////////// // ____ ____ // / /\/ / // /___/ \ / Vendor : Xilinx // \ \ \/ Version : 2018.3 // \ \ Description : Xilinx Unified Simulation Library Component // / / General Clock Buffer // /___/ /\ Filename : BUFG.v // \ \ / \ // \___\/\___\ // /////////////////////////////////////////////////////////////////////////////// // Revision: // 03/23/04 - Initial version. // 05/23/07 - Changed timescale to 1 ps / 1 ps. // 12/13/11 - 524859 - Added `celldefine and `endcelldefine // End Revision: /////////////////////////////////////////////////////////////////////////////// //`timescale 1 ps / 1 ps `celldefine module BUFG `ifdef XIL_TIMING #( parameter LOC = "UNPLACED" ) `endif ( output O, input I ); // define constants localparam MODULE_NAME = "BUFG"; `ifdef XIL_TIMING reg notifier; `endif // begin behavioral model buf B1 (O, I); // end behavioral model `ifndef XIL_XECLIB `ifdef XIL_TIMING specify (I => O) = (0:0:0, 0:0:0); $period (negedge I, 0:0:0, notifier); $period (posedge I, 0:0:0, notifier); specparam PATHPULSE$ = 0; endspecify `endif `endif endmodule `endcelldefine