FPGA-RISC-V-CPU / vivado_pid11801.str
vivado_pid11801.str
Raw
/*

Xilinx Vivado v2021.1 (64-bit) [Major: 2021, Minor: 1]
SW Build: 3247384 on Thu Jun 10 19:36:07 MDT 2021
IP Build: 3246043 on Fri Jun 11 00:30:35 MDT 2021

Process ID (PID): 11801
License: Customer
Mode: GUI Mode

Current time: 	Fri Dec 09 01:50:38 PST 2022
Time zone: 	Pacific Standard Time (America/Los_Angeles)

OS: CentOS Linux release 7.9.2009 (Core)
OS Version: 5.4.206-200.el7.x86_64
OS Architecture: amd64
Available processors (cores): 4

Display: 51
Screen size: 1920x1011
Screen resolution (DPI): 98
Available screens: 1
Default font: family=Dialog,name=Dialog,style=plain,size=12
Scale size: 12

Java version: 	11.0.2 64-bit
Java home: 	/share/instsww/xilinx/Vivado/2021.1/tps/lnx64/jre11.0.2
Java executable: 	/share/instsww/xilinx/Vivado/2021.1/tps/lnx64/jre11.0.2/bin/java
Java initial memory (-Xms): 	256 MB
Java maximum memory (-Xmx):	 3 GB


User name: 	eecs151-abj
User home directory: /home/cc/eecs151/fa22/class/eecs151-abj
User working directory: /home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06
User country: 	US
User language: 	en
User locale: 	en_US

RDI_BASEROOT: /share/instsww/xilinx/Vivado
HDI_APPROOT: /share/instsww/xilinx/Vivado/2021.1
RDI_DATADIR: /share/instsww/xilinx/Vivado/2021.1/data
RDI_BINDIR: /share/instsww/xilinx/Vivado/2021.1/bin

Vivado preferences file: /home/cc/eecs151/fa22/class/eecs151-abj/.Xilinx/Vivado/2021.1/vivado.xml
Vivado preferences directory: /home/cc/eecs151/fa22/class/eecs151-abj/.Xilinx/Vivado/2021.1/
Vivado layouts directory: /home/cc/eecs151/fa22/class/eecs151-abj/.Xilinx/Vivado/2021.1/data/layouts
PlanAhead jar file: 	/share/instsww/xilinx/Vivado/2021.1/lib/classes/planAhead.jar
Vivado log file: 	/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/vivado.log
Vivado journal file: 	/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/vivado.jou
Engine tmp dir: 	./.Xil/Vivado-11801-c111-3.eecs.berkeley.edu

Xilinx Environment Variables
----------------------------
XILINX: /share/instsww/xilinx/Vivado/2021.1/ids_lite/ISE
XILINXD_LICENSE_FILE: 2100@license-srv.eecs.berkeley.edu
XILINX_DSP: /share/instsww/xilinx/Vivado/2021.1/ids_lite/ISE
XILINX_HLS: /share/instsww/xilinx/Vitis_HLS/2021.1
XILINX_PLANAHEAD: /share/instsww/xilinx/Vivado/2021.1
XILINX_VITIS: 
XILINX_VIVADO: /share/instsww/xilinx/Vivado/2021.1
XILINX_VIVADO_HLS: /share/instsww/xilinx/Vivado/2021.1


GUI allocated memory:	308 MB
GUI max memory:		3,072 MB
Engine allocated memory: 1,695 MB

Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.

*/

// TclEventType: START_GUI
// Tcl Message: start_gui 
// WARNING: HEventQueue.dispatchEvent() is taking  1227 ms.
// HMemoryUtils.trashcanNow. Engine heap size: 1,647 MB. GUI used memory: 62 MB. Current time: 12/9/22, 1:50:39 AM PST
selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // af
selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // ak
// Tcl Command: 'rdi::info_commands {device::*}'
// Tcl Command: 'rdi::info_commands {debug::*}'
// Tcl Command: 'rdi::info_commands {*}'
dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // af
selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // af
selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // ak
selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // ak
selectMenuItem(PAResourceCommand.PACommandNames_OPEN_CHECKPOINT, "Open..."); // an
dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // af
// Run Command: PAResourceCommand.PACommandNames_OPEN_CHECKPOINT
// Elapsed time: 21 seconds
setFileChooser("/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/build/impl/z1top_routed.dcp");
// bz (cs):  Open Checkpoint : addNotify
// TclEventType: DEBUG_PROBE_SET_CHANGE
// TclEventType: FLOW_ADDED
// Tcl Message: open_checkpoint /home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/build/impl/z1top_routed.dcp 
// Tcl Message: Command: open_checkpoint /home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/build/impl/z1top_routed.dcp  Starting open_checkpoint Task  Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 7533.832 ; gain = 0.000 ; free physical = 4936 ; free virtual = 11411 
// TclEventType: FILE_SET_NEW
// TclEventType: RUN_ADD
// TclEventType: RUN_CURRENT
// TclEventType: PROJECT_DASHBOARD_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 1,672 MB. GUI used memory: 72 MB. Current time: 12/9/22, 1:51:19 AM PST
// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified 
// TclEventType: PROJECT_NEW
// [GUI Memory]: 106 MB (+108967kb) [00:01:04]
// [Engine Memory]: 1,676 MB (+1605724kb) [00:01:04]
// WARNING: HEventQueue.dispatchEvent() is taking  4668 ms.
// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/share/instsww/xilinx/Vivado/2021.1/data/ip'. 
// HMemoryUtils.trashcanNow. Engine heap size: 1,891 MB. GUI used memory: 74 MB. Current time: 12/9/22, 1:51:44 AM PST
// TclEventType: SDC_CONSTRAINT_ADD
// HMemoryUtils.trashcanNow. Engine heap size: 1,926 MB. GUI used memory: 74 MB. Current time: 12/9/22, 1:51:45 AM PST
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 1,945 MB. GUI used memory: 74 MB. Current time: 12/9/22, 1:51:46 AM PST
// [Engine Memory]: 1,946 MB (+194922kb) [00:01:29]
// [GUI Memory]: 119 MB (+7338kb) [00:01:30]
// [GUI Memory]: 125 MB (+84kb) [00:01:30]
// TclEventType: DESIGN_NEW
// Xgd.load filename: /share/instsww/xilinx/Vivado/2021.1/data/parts/xilinx/zynq/devint/zynq/xc7z020/xc7z020.xgd; ZipEntry: xc7z020_detail.xgd elapsed time: 1.8s
// [GUI Memory]: 139 MB (+8050kb) [00:01:31]
// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 2.1s
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 2.4s
// [Engine Memory]: 2,096 MB (+55616kb) [00:01:35]
// Device: addNotify
// DeviceView Instantiated
// [GUI Memory]: 153 MB (+7428kb) [00:01:36]
// WARNING: HEventQueue.dispatchEvent() is taking  6013 ms.
// Tcl Message: INFO: [Device 21-403] Loading part xc7z020clg400-1 
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 7572.023 ; gain = 0.000 ; free physical = 4542 ; free virtual = 11022 
// Tcl Message: INFO: [Netlist 29-17] Analyzing 123 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2021.1 INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Constraints 18-5170] The checkpoint was created with non-default parameter values which do not match the current Vivado settings.  Mismatching parameters are: 
// Tcl Message:   general.maxThreads 
// Tcl Message: INFO: [Project 1-853] Binary constraint restore complete. 
// Tcl Message: Reading XDEF placement. Reading placer database... Reading XDEF routing. 
// Tcl Message: Read XDEF File: Time (s): cpu = 00:00:00.35 ; elapsed = 00:00:00.35 . Memory (MB): peak = 7814.801 ; gain = 6.938 ; free physical = 4002 ; free virtual = 10482 
// Tcl Message: Restored from archive | CPU: 0.360000 secs | Memory: 4.774261 MB | 
// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00.35 ; elapsed = 00:00:00.35 . Memory (MB): peak = 7814.801 ; gain = 6.938 ; free physical = 4002 ; free virtual = 10482 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 7814.801 ; gain = 0.000 ; free physical = 4002 ; free virtual = 10482 
// TclEventType: CURR_DESIGN_SET
// TclEventType: PROJ_DESIGN_MODE_SET
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary:   A total of 14 instances were transformed.   RAM32M => RAM32M (RAMD32(x6), RAMS32(x2)): 10 instances   RAM32X1D => RAM32X1D (RAMD32(x2)): 4 instances  
// TclEventType: PROJ_DESIGN_MODE_SET
// TclEventType: PROJECT_DASHBOARD_GADGET_DELETE
// TclEventType: PROJ_DESIGN_MODE_SET
// TclEventType: DESIGN_MODE_CHANGE
// RouteApi: Init Delay Mediator Swing Worker Finished
// Device view-level: 0.0
// WARNING: HEventQueue.dispatchEvent() is taking  1069 ms.
// Tcl Message: INFO: [Project 1-604] Checkpoint was created with Vivado v2021.1 (64-bit) build 3247384 
// Tcl Message: open_checkpoint: Time (s): cpu = 00:00:55 ; elapsed = 00:00:39 . Memory (MB): peak = 8004.500 ; gain = 470.668 ; free physical = 3898 ; free virtual = 10382 
// Project name: project_z1top_routed; location: /home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/build/impl; part: xc7z020clg400-1
// 'd' command handler elapsed time: 63 seconds
// Elapsed time: 41 seconds
dismissDialog("Open Checkpoint"); // bz
// [GUI Memory]: 162 MB (+1514kb) [00:01:39]
// Elapsed time: 25 seconds
selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // af
selectMenu(PAResourceItoN.MainMenuMgr_IO, "IO"); // ak
selectMenu(PAResourceItoN.MainMenuMgr_TIMING, "Timing"); // ak
dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // af
selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // af
selectMenu(PAResourceItoN.MainMenuMgr_IO, "IO"); // ak
selectMenu(PAResourceItoN.MainMenuMgr_TIMING, "Timing"); // ak
selectMenuItem(PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY, "Report Timing Summary..."); // an
dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // af
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
// aI (cs): Report Timing Summary: addNotify
setText(PAResourceTtoZ.TimingDialogUtils_RESULTS_NAME, "timing_1"); // aa
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// 'r' command handler elapsed time: 6 seconds
dismissDialog("Report Timing Summary"); // aI
// bz (cs):  Report Timing Summary : addNotify
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 
// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs 
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
// WARNING: HEventQueue.dispatchEvent() is taking  3153 ms.
dismissDialog("Report Timing Summary"); // bz
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Intra-Clock Paths]", 5, true); // a - Node
// Elapsed time: 12 seconds
expandTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Intra-Clock Paths]", 5); // a
// Elapsed time: 12 seconds
selectTable(PAResourceItoN.IntraClocksSectionPanel_INTRA_CLOCKS_SECTION_TABLE, "cpu_clk_int ; rise - rise ; 0.276 ; 0 ; 0 ; 2366 ; rise - rise ; 0.118 ; 0 ; 0 ; 2366 ; 7.083 ; 0 ; 0 ; 614", 1, "cpu_clk_int", 0); // t
expandTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Intra-Clock Paths, cpu_clk_int]", 7); // a
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Intra-Clock Paths, cpu_clk_int, Setup 0.276 ns]", 8, false); // a
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 8 ; 0.32974157 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/cycle_cnt_reg[3]/R ; 15.796348 ; 4.0099993 ; 11.786344 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 7, "21", 2); // h
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 8 ; 0.32974157 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/cycle_cnt_reg[3]/R ; 15.796348 ; 4.0099993 ; 11.786344 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 7, "21", 2); // h
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 6 ; 0.32974157 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/cycle_cnt_reg[1]/R ; 15.796348 ; 4.0099993 ; 11.786344 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 5, "21", 2); // h
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 8 ; 0.32974157 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/cycle_cnt_reg[3]/R ; 15.796348 ; 4.0099993 ; 11.786344 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 7, "21", 2); // h
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 8 ; 0.32974157 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/cycle_cnt_reg[3]/R ; 15.796348 ; 4.0099993 ; 11.786344 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 7, "21", 2); // h
// PAPropertyPanels.initPanels (Path 1) elapsed time: 1.7s
// Elapsed time: 32 seconds
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.27575096 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[0]/R ; 15.755339 ; 4.0099993 ; 11.745334 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 0, "Path 1", 0); // h
// WARNING: HEventQueue.dispatchEvent() is taking  1842 ms.
// [GUI Memory]: 179 MB (+9633kb) [00:03:41]
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.27575096 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[0]/R ; 15.755339 ; 4.0099993 ; 11.745334 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 0, "Path 1", 0, false, false, false, false, true); // h - Double Click
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.27575096 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[0]/R ; 15.755339 ; 4.0099993 ; 11.745334 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 0, "Path 1", 0); // h
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.27575096 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[0]/R ; 15.755339 ; 4.0099993 ; 11.745334 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 0, "Path 1", 0, false, false, false, false, true); // h - Double Click
// WARNING: HEventQueue.dispatchEvent() is taking  1130 ms.
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.27575096 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[0]/R ; 15.755339 ; 4.0099993 ; 11.745334 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 0, "Path 1", 0, false, false, false, true, false); // h - Popup Trigger
// PAPropertyPanels.initPanels (Path 2) elapsed time: 0.3s
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 2 ; 0.27575096 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[1]/R ; 15.755339 ; 4.0099993 ; 11.745334 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 1, "Path 2", 0); // h
// PAPropertyPanels.initPanels (Path 1) elapsed time: 0.4s
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.27575096 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[0]/R ; 15.755339 ; 4.0099993 ; 11.745334 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 0, "Path 1", 0); // h
// HMemoryUtils.trashcanNow. Engine heap size: 2,173 MB. GUI used memory: 127 MB. Current time: 12/9/22, 1:54:09 AM PST
// Elapsed time: 48 seconds
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "net (fo=40, routed) ; 1.146 ; 4.032 ;  ; cpu/rf/mem_reg_r1_0_31_0_5_i_77_0[30]", 1, "1.146", 1); // C
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, " ;  ;  ; Site: SLICE_X41Y18 ; cpu/rf/mem_reg_r1_0_31_0_5_i_76/I5", 5, (String) null, 1); // C
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "net (fo=9, routed) ; 0.999 ; 5.969 ;  ; cpu/rf/wb_alu_reg[8]", 7, "0.999", 1); // C
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "LUT6 (Prop_lut6_I4_O) ; (r) 0.124 ; 6.093 ; Site: SLICE_X38Y16 ; cpu/rf/mem_reg_2_0_i_16/O", 9, "(r) 0.124", 1); // C
// [Engine Memory]: 2,239 MB (+39779kb) [00:04:47]
// HMemoryUtils.trashcanNow. Engine heap size: 2,239 MB. GUI used memory: 119 MB. Current time: 12/9/22, 1:55:05 AM PST
// [Engine Memory]: 2,384 MB (+35055kb) [00:05:12]
// HMemoryUtils.trashcanNow. Engine heap size: 2,277 MB. GUI used memory: 120 MB. Current time: 12/9/22, 1:55:30 AM PST
// PAPropertyPanels.initPanels (O) elapsed time: 0.2s
// Elapsed time: 38 seconds
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "LUT6 (Prop_lut6_I4_O) ; (r) 0.124 ; 6.093 ; Site: SLICE_X38Y16 ; cpu/rf/mem_reg_2_0_i_16/O", 9, "LUT6 (Prop_lut6_I4_O)", 0); // C
// Elapsed time: 22 seconds
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "BUFG (Prop_bufg_I_O) ; (r) 0.091 ; 17.436 ; Site: BUFGCTRL_X0Y16 ; clk_gen/cpu_clk_buf/O", 10, "BUFG (Prop_bufg_I_O)", 0); // C
// [GUI Memory]: 188 MB (+7kb) [00:11:11]
// Elapsed time: 348 seconds
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "BUFG (Prop_bufg_I_O) ; (r) 0.091 ; 17.436 ; Site: BUFGCTRL_X0Y16 ; clk_gen/cpu_clk_buf/O", 10, "clk_gen/cpu_clk_buf/O", 4); // C
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "BUFG (Prop_bufg_I_O) ; (r) 0.091 ; 17.436 ; Site: BUFGCTRL_X0Y16 ; clk_gen/cpu_clk_buf/O", 10, "BUFG (Prop_bufg_I_O)", 0); // C
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "BUFG (Prop_bufg_I_O) ; (r) 0.091 ; 17.436 ; Site: BUFGCTRL_X0Y16 ; clk_gen/cpu_clk_buf/O", 10, "BUFG (Prop_bufg_I_O)", 0); // C
selectMenuItem(PAResourceOtoP.PathReportTableView_SHOW_DESCRIPTION, "Show Description for Prop_bufg_I_O"); // an
selectButton(RDIResource.HPopupTitle_CLOSE, (String) null); // k
// [GUI Memory]: 198 MB (+75kb) [00:11:45]
// Elapsed time: 268 seconds
selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // af
selectMenu(PAResourceItoN.MainMenuMgr_IO, "IO"); // ak
selectMenu(PAResourceItoN.MainMenuMgr_TIMING, "Timing"); // ak
selectMenu(PAResourceItoN.MainMenuMgr_TIMING, "Timing"); // ak
selectMenuItem(PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY, "Report Timing Summary..."); // an
dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // af
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
// aI (cs): Report Timing Summary: addNotify
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Report Timing Summary"); // aI
// bz (cs):  Report Timing Summary : addNotify
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_2 
// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs 
// TclEventType: TIMING_SUMMARY_UPDATED
// WARNING: HEventQueue.dispatchEvent() is taking  2661 ms.
dismissDialog("Report Timing Summary"); // bz
// [GUI Memory]: 208 MB (+325kb) [00:18:38]
// Elapsed time: 140 seconds
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "net (fo=612, routed) ; 1.561 ; 18.997 ;  ; cpu/cpu_clk", 11, "net (fo=612, routed)", 0); // C
editTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "net (fo=612, routed)", 11, "Delay Type", 0); // C
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "FDRE ;  ;  ; Site: SLICE_X26Y19 ; cpu/insts_cnt_reg[0]/C", 12, "FDRE", 0); // C
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "BUFG (Prop_bufg_I_O) ; (r) 0.091 ; 17.436 ; Site: BUFGCTRL_X0Y16 ; clk_gen/cpu_clk_buf/O", 10, "BUFG (Prop_bufg_I_O)", 0); // C
selectTable(PAResourceOtoP.PathReportTableView_DESCRIPTION, "BUFG (Prop_bufg_I_O) ; (r) 0.091 ; 17.436 ; Site: BUFGCTRL_X0Y16 ; clk_gen/cpu_clk_buf/O", 10, "BUFG (Prop_bufg_I_O)", 0); // C
selectMenuItem((HResource) null, "Select Site BUFGCTRL_X0Y16"); // an
// PAPropertyPanels.initPanels (Site: BUFGCTRL_X0Y16) elapsed time: 0.6s
// [Engine Memory]: 2,539 MB (+37341kb) [00:18:57]
// HMemoryUtils.trashcanNow. Engine heap size: 2,407 MB. GUI used memory: 156 MB. Current time: 12/9/22, 2:09:15 AM PST
applyEscape(PAResourceOtoP.PathReportTableView_DESCRIPTION, (String) null); // C
// Elapsed time: 11 seconds
selectButton(PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK, "0.276 ns"); // h
// PAPropertyPanels.initPanels (Path 1) elapsed time: 0.2s
// Elapsed time: 98 seconds
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.27575096 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[0]/R ; 15.755339 ; 4.0099993 ; 11.745334 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 0, "Path 1", 0, false, false, false, true, false); // h - Popup Trigger
selectMenuItem(PAResourceCommand.PACommandNames_SCHEMATIC, "Schematic"); // an
// Run Command: PAResourceCommand.PACommandNames_SCHEMATIC
// Schematic: addNotify
// Run Command: PAResourceCommand.PACommandNames_ZOOM_IN
// Run Command: PAResourceCommand.PACommandNames_ZOOM_IN
// Run Command: PAResourceCommand.PACommandNames_ZOOM_IN
// Run Command: PAResourceCommand.PACommandNames_ZOOM_OUT
// PAPropertyPanels.initPanels (Path 10) elapsed time: 0.2s
// Elapsed time: 177 seconds
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 10 ; 0.32988545 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[25]/R ; 15.695204 ; 4.0099993 ; 11.6852 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 9, "Path 10", 0); // h
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 10 ; 0.32988545 ; 21 ; 21 ; 64 ; cpu/wb_alu_reg[30]/C ; cpu/insts_cnt_reg[25]/R ; 15.695204 ; 4.0099993 ; 11.6852 ; 16.666664 ; cpu_clk_int ; cpu_clk_int ;  ; 0.13157529", 9, "Path 10", 0, false, false, false, true, false); // h - Popup Trigger
selectMenu(PAResourceOtoP.PathMenu_SET_MAXIMUM_DELAY, "Set Maximum Delay"); // ak
selectMenu(PAResourceOtoP.PathMenu_SET_MULTICYCLE_PATH, "Set Multicycle Path"); // ak
selectMenu(PAResourceOtoP.PathMenu_SET_FALSE_PATH, "Set False Path"); // ak
selectMenuItem(PAResourceCommand.PACommandNames_SCHEMATIC, "Schematic"); // an
// Run Command: PAResourceCommand.PACommandNames_SCHEMATIC
// Schematic: addNotify
// HMemoryUtils.trashcanNow. Engine heap size: 2,279 MB. GUI used memory: 119 MB. Current time: 12/9/22, 2:39:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,262 MB. GUI used memory: 113 MB. Current time: 12/9/22, 3:09:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,259 MB. GUI used memory: 112 MB. Current time: 12/9/22, 3:39:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,259 MB. GUI used memory: 112 MB. Current time: 12/9/22, 4:09:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 112 MB. Current time: 12/9/22, 4:39:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 112 MB. Current time: 12/9/22, 5:09:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 112 MB. Current time: 12/9/22, 5:39:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 112 MB. Current time: 12/9/22, 6:09:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 112 MB. Current time: 12/9/22, 6:39:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 112 MB. Current time: 12/9/22, 7:09:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 112 MB. Current time: 12/9/22, 7:39:20 AM PST
// HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 112 MB. Current time: 12/9/22, 8:09:20 AM PST