! / 0 0 0 0 146 ` pfPreStartupRoutinespfStartupRoutinesraceDBdircertitudeTopNameSimDaiDirSimLearnDirOvaDirVCSGlobalDataamcQwB.o/ 1668981247 80373 20144 100600 104298 ` ELF>@@.shstrtab.strtab.symtab.profinf.data.rela.datapfPreStartupRoutinesinitDebugFuncPtrspfStartupRoutinessaifVlInitinitOptionBasedFuncPtrsvpCheckVcdPlusFilevpCallVcdPlusFilevcsdCallVcdPlusFileSwitchvcsdCheckVcdPlusvcsdCallVcdPlusvcsdMiscVcdPlusvcsdCheckVcdPlusMemoryvcsdCallVcdPlusMemoryvcsdCheckVcdPlusClosevcsdCallVcdPlusClosevcsdCallVcdPlusFlushvcsdCallVcdPlusDeltaCyclevcsdCheckVcdPlusEventvcsdCallVcdPlusEventvcsdCallVcdPlusGlitchvcsdCheckVcdPlusFiltervcsdCallVcdPlusFiltermsglogCheckArgsmsglogMainmsglogControlvcs_get_object_idvcs_get_object_typeDumpPortsIeeeCALLDumpPortsMISCDumpPortsLsiCALLDumpPortsOnCALLDumpPortsOffCALLDumpPortsFlushCALLsimLearnChecksimLearnCallsimLearnMiscDumpPortsAllCALLDumpPortsLimitCALLDMMemProfCheckDMMemProfCALLDMMemProfMISCCountDriversCALLmxsaifRegionCreatemxsaifRegionSyncmxsaifRegionExcludemxsaifRegionMonitormxsaifRegionReadLibmxsaifRegionReportmxsaifRegionDiagmxtcSetRegionmxtcSyncmxtcGetReportmxsaifSetRegionmxsaifSyncmxsaifStartmxsaifStopmxsaifResetmxsaifGetReportmxsaifReadLibmxsaifLibSyncmxsaifSetPolicySNYISmdaIndexCalcSv2kPowIntSv2kPowRealSactiveinstSactivescopeSsvaOnSsvaOffSovaOnSovaOffSsvaAssertOnSsvaAssertOffSsvaAssertLockSsvaAssertUnlockSsvaAssertKillSsvaAssertKillLPSsvaAssertOnLPSsvaAssertEnableSsvaAssertDisableSsvaAssertCovOnSsvaAssertCovOffSsvaAssertcontrolSsvaAssertcontrolExtendsetLockresetLockSsvaUniqPriorErrorSsvaUniqPriorDisplaySsvaUniqPriorUnlockSsvaUniqPriorLockSsvaUniqPriorOnSsvaUniqPriorOffSsvaUniqPriorFuncSxzcheckOnSxzcheckOffSbitstorealSbitstoShortrealScastassign_failSref_connectSdisplaySova_displaySova_current_timeSova_start_timeSdisplaybSdisplayhSdisplayoSdist_chi_squareSdist_erlangSdist_exponentialSdist_normalSdist_poissonSdist_tSdist_uniformVDumpallVDumpchangeVDumpfileVDumpflushVDumplimitVDumpoffVDumponVDumpvarsSverrorSvfatalSerror_modeSsvaErrorSsvSnpsErrorSsnpsErrorSsnpsWarningSsnpsFatalSsnpsInfoSsvaInternalErrorSsvaInternalSuccessSpslInternalErrorSpslInternalSuccessSsvaDisplayScosimInitScharge_decaySsvaFatalSsvSnpsFatalSfcloseSfclose_zemiSfdisplaySfdisplaybSfdisplayhSfdisplayoSfeofSferrorSfflushSfflushallSfgetcSfgetsSfinishSsnpsFinishAtTimeEndSflagSfmonitorSfmonitorbSfmonitorhSfmonitoroSfopenSfopen_zemiSfreadSfreadbSfreadhSfreadstrSfreezeSfscanfSfseekSfstrobeSfstrobebSfstrobehSfstrobeoSftellSfwriteSfwritebSfwritehSfwriteoSgetplusarg_numSgetplusarg_hnumSgetstateSwavesSsvaInfoSsvSnpsInfoSinitstateSitorSlockfileScreateZprdFrameScloseZprdFrameSlogSmonitorSmonitorbSmonitorhSmonitoroSmonitoroffSmonitoronSiszerotimeSnologSova_get_categorySova_get_severitySova_set_categorySova_assert_resetSova_set_global_finish_maxfailSova_set_severitySova_assertion_stopSova_assertion_startSova_category_stopSova_category_stop_failcountSova_category_startSova_category_start_failcountSova_severity_stopSova_severity_startSova_severity_action_cliSova_category_action_cliSova_severity_actionSova_category_actionSovaResetSovaStartSovaStopSovaTraceOffSovaTraceOnSovaTrackingSprinttimescaleSq_addSpmemdefSq_examSq_fullSq_initializeSq_removeSrandomSconcat32SdesignFileSsystemSgetRandomValueRerollSsscanfSreadmemSwiftSreadmembSreadmemhSreadmemb_contSreadmemh_contSreadmemb_bufferedSrewindSsreadmembSsreadmemhSabstimeSrealtimeSrealtobitsSshortrealtobitsSresetSreset_valueSreset_countSrestartSrtoiSsaveSsetstateSpsprintfSpsprintfLoopSsformatSstimeSstopSstrobeSstrobebSstrobehSstrobeoSswriteSswrite_veraSsvEventInitSpioneer_outpropSswritebSswritehSswriteoSsystemfStestplusargsSungetcSvalueplusargsSvera_sscanfSvrand48SvrandomSvsrandomSvurand48SvurandomSvurandom_rangeStimeGetPosedgeTimeGetNegedgeTimeGetEdgeTimeStimeformatSunlockfileSsvaWarningSsvSnpsWarningSwriteSwritebSwritehSwritemembSwritememhSwriteoSinterpretSdepositSdeposit_flSdisable_warningsSenable_warningsStxpInitStxpCountStxpCountBitsSisActionBlkEnabledSisCallFromFinalSMtsSetContextIdSMtsGetContextIdSsvaOnehotSsvaOnehot0SsvaIsunknownSsvaCountXSsvaCountZSsvaCountUnknownSsvaOneDrivenSsvaOneDriven0StxpMsgStxpvcsSimtimeSovadumpoffSovadumponSgetLinearIndexSgetsystimeSroutdumpoffSroutdumponSassert_monitorSassert_monitor_onSassert_monitor_offSvcsMathAmsSrealModulusAmsSCoverageControlSCovgLoadCumulativeDataSCovgLoadCumulativeCGDataSCovgLoadInstFromDbTestSCovgSetTestDbNameSCovgSetBackupDbTestSCovgDumpSCmPostSCovgResetSnote_program_initialStimeunitSvshelltimeunitSpower_domain_infoSPowerCorruptRegSMcpLaunchQSMcpAccInitSpowerScopeSpowerMapIsoIpSregisterPGPinSpgsimDriverNetSpgsimLoadNetSregisterRetentionNodePrintStackNoErrorSconnect_signal_VH_VLSconnect_supply_net_VH_VLSconnect_supply_net_VL_VHSconnectVHVLSset_x_propSxprop_assert_onSxprop_assert_offSxprop_assert_fatalSxprop_assert_warnSset_xpropSis_xprop_activeSxprop_urandomStable_modelSsnps_vcs_simprofile_mem_snapshotSprofilestartSprofileendSprofilesnapshotSgcmemsnapshotSnustartSnativeCertitudeModelTimeStampSnativeCertitudeSimulatedModuleSnativeCertitudeSetupFaultIdSnativeCertitudeForceFaultIdSnativeCertitudeSetupPropagationSnativeCertitudePropagationShimaSignalStatusShimaEnableSampleShimaTopProbeShimaSampleModuleTableShimaSampleMultTableScertitudeReporterSSimPopThreadSSimResetLoadThreadSSimRandomizeUniqueIdSSimRandomLightInstrBuiltInFFsUvmHdlReadSMsvIsAnalogNodeSMsvGetVoltageSMsvGetCurrentSdavinciSetTransTagSdavinciTransactionSdavinciStartSdavinciEndSdavinciEnableSdavinciDisableSpower_domain_corrupt_warnvcs_Sfsim_injectvcs_Sfsim_detectvcs_Sfsim_autoinjectvcs_Sfs_comparevcs_Sfs_delete_statusvcs_Sfs_drop_statusvcs_Sfs_injectvcs_Sfs_set_statusvcs_Sfs_strobetf_fs_strobevcsDslAddToGraphvcsDslBindResourceToGraphvcsDslEvalMustacheSgetInitialRandomSeedvcsHwCosimEncodeSVStringvcsHwCosimDecodeBitStreamSsdfannotateraceDBdircertitudeTopNameSimDaiDirSimLearnDirOvaDirSDoingNothingSstopWrapperSovaFinishScontinueVCSGlobalDataSIM(:E]p%:Tj#1BRcv"6J^q!&4?KWdksz0BZbl!2?HUgw  +4<FNVbly !.6CMXcnt|    ' / 6 ? I S ] d l u ~               " , 6 B M Y ` r         2 F d w           * : A J R Z h r z              ' 0 : F W ^ k x                 $-6?MUdqz#+6AIT]i{ $/@N]et$<Vn+:IYg~*=HYhu6St#9NYdu 1FVl+ER\mw`@`/share/instsww/synopsys-new/vcs/P-2019.06/linux64/lib/vcsdp.tab$vcdplusfile$vcdplusfileswitch$vcdplusoff$vcdpluson$vcdplustraceoff$vcdplustraceon$vcdplusdumphier$vcdplusmemoff$vcdplusmemon$vcdplusmemorydump$vcdplusclose$vcdplusflush$vcdplusautoflushoff$vcdplusautoflushon$vcdplusdeltacycleoff$vcdplusdeltacycleon$vcdplusevent$vcdplusglitchoff$vcdplusglitchon$vcdplusfilter$vcdpluspowerstateoff$vcdpluspowerstateon$vcdpluspowerenableoff$vcdpluspowerenableon$vcdplusmsglog$vcdplusmsglogon$vcdplusmsglogoff$vcs_get_object_id$vcs_get_object_type$dumpports$lsi_dumpports$dumpportson$dumpportsoff$dumpportsflush$simlearn$dumpportsall$dumpportslimit$vcsmemprof$countdrivers$saif_region_create$saif_region_exclude$saif_region_monitor$saif_read_lib$saif_region_report$saif_region_diag$start_toggle_count$report_toggle_count$set_toggle_region$toggle_start$toggle_stop$toggle_reset$toggle_report$read_lib_saif$set_gate_level_monitoring))))))) ) ) ) ) ))))))))))))))))))))))) )@))))))))))))))))$null$$mdaIndexCalc$$v2kPowInt$$v2kPowReal$activeinst$activescope$svaon$svaoff$ovaon$ovaoff$asserton$assertoff$assertlock$assertunlock$assertkill$$assertkill_lp$$asserton_lp$$assertenable$$assertdisable$$assertcovon$$assertcovoff$assertcontrol$$assertcontrol_extend$setLock$resetLock$uniq_prior_error$uniq_prior_display$uniq_prior_unlock$uniq_prior_lock$uniq_prior_checkon$uniq_prior_checkoff$uniq_prior_lib_func$xzcheckon$xzcheckoff$bitstoreal$bitstoshortreal$$castassign_fail$$ref_connect$$alias$define_group_waves$display$ova_display$ova_current_time$ova_start_time$displayb$displayh$displayo$dist_chi_square$dist_erlang$dist_exponential$dist_normal$dist_poisson$dist_t$dist_uniform$dumpall$dumpchange$dumpfile$dumpflush$dumplimit$dumpoff$dumpon$dumpvars$verror$vfatal$error_mode$error$sv_snps_error$snps_error$snps_warning$snps_fatal$snps_info$$sva_internal_error$$sva_internal_success$$psl_internal_error$$psl_internal_success$$svaDisplay$export$$register_access$$charge_decay$fatal$sv_snps_fatal$fclose$fclose_zemi$fdisplay$fdisplayb$fdisplayh$fdisplayo$feof$ferror$fflush$fflushall$fgetc$fgets$finish$snps_finishattimeend$flag$fmonitor$fmonitorb$fmonitorh$fmonitoro$fopen$fopen_zemi$fread$freadb$freadh$freadstr$freeze_waves$fscanf$fseek$fstrobe$fstrobeb$fstrobeh$fstrobeo$ftell$fwrite$fwriteb$fwriteh$fwriteo$get$plusarg_num$get$plusarg_hnum$getpattern$getstate$gr_addwaves$gr_bars$gr_position$gr_regs$gr_regs_memsize$gr_synchoff$gr_color$gr_waves$gr_waves_memsize$incsave$info$sv_snps_info$initstate$input$itor$key$list$lock_file$create_zprd_frame$close_zprd_frame$log$monitor$monitorb$monitorh$monitoro$monitoroff$monitoron$iszerotime$nokey$nolist$nolog$ova_get_category$assert_get_category$ova_get_severity$assert_get_severity$ova_set_category$assert_set_category$txp_assert_reset_task$ova_set_global_finish_maxfail$ova_set_severity$assert_set_severity$ova_assertion_stop$assert_assertion_stop$ova_assertion_start$assert_assertion_start$ova_category_stop$assert_category_stop$assert_category_stop_failcount$ova_category_start$assert_category_start$assert_category_start_failcount$ova_severity_stop$assert_severity_stop$ova_severity_start$assert_severity_start$ova_severity_action$assert_severity_action$ova_category_action$assert_category_action$ova_severity_action_internal_found_in_hdl$ova_category_action_internal_found_in_hdl$ova_reset$ova_start$ova_stop$ova_trace_off$ova_trace_on$ova_tracing$printtimescale$q_add$$spmem_def$q_exam$q_full$q_initialize$q_remove$random$concat32$designFile$system$$getRandomValueReroll$sscanf$swift_readmem$readmemb$readmemh$readmemb_cont$readmemh_cont$readmemb_buffered$rewind$sreadmemb$sreadmemh$abstime$realtime$realtobits$shortrealtobits$reset$reset_value$reset_count$restart$rtoi$save$setstate$psprintf$psprintfLoop$sformat$sformatf$stime$stop$strobe$strobeb$strobeh$strobeo$swrite$swrite_vera$$eevent_init$$svevent_init$$pioneer_outprop$swriteb$swriteh$swriteo$systemf$test$plusargs$ungetc$value$plusargs$vera_sscanf$vrand48$vrandom$srandom$vurand48$urandom$urandom_range$time$$get_posedge_time$$get_negedge_time$$get_edge_time$timeformat$unlock_file$warning$sv_snps_warning$write$writeb$writeh$writememb$writememh$writeo$interpret$deposit$$deposit_fl$disable_warnings$enable_warnings$txpvcs$txpspl$txpprevvaluetask$txpfvupdate$countones$countbits$isActionBlkEnabled$isCallFromFinal$mts_set_context_id$mts_get_context_id$onehot$onehot0$isunknown$countx$countz$countunknown$onedriven$onedriven0$txpmsg$txpvcssimtime$ovadumpoff$ovadumpon$$getLinearIndex$$getsystime$$routdumpoff$$routdumpon$assert_monitor$assert_monitor_on$assert_monitor_off$$begin_nba$$memcopy_nba$$wakeup_nba$$loopar$vcsMathAms$$realModulusAms$cg_coverage_control$coverage_load_cumulative_data$coverage_load_cumulative_cg_data$covgLoadInstFromDbTest$coverage_set_test_database_name$coverage_backup_database_test$coverage_dump$cm_post$coverage_reset$$note_program_initial$stimeunit$svshelltimeunit$power_domain_info$power_corrupt_reg$$mcpLaunchQ$$mcpAccInit$power_csn_override$power_scope$power_map_iso_ip$upf_partial_retention$$pgsim_driver_net$$pgsim_load_net$upf_register_pg_pins$upf_register_retention_node$stack$connect_signal_VH_VL$connect_supply_net_VH_VL$connect_supply_net_VL_VH$connectVHVL$set_x_prop$xprop_assert_on$xprop_assert_off$xprop_assert_fatal$xprop_assert_warn$$set_xprop$is_xprop_active$$xprop_urandom$table_model_internal$snps_vcs_simprofile_mem_snapshot$profilestart$profileend$profilesnapshot$$condwakeup$$condsleep$$setDbsId$gcmemsnapshot$nustart$native_certitude_modelTimeStamp$native_certitude_simulatedModule$native_certitude_setup_faultId$native_certitude_force_faultId$native_certitude_setup_propagation$native_certitude_propagation$himaSignalStatus$himaEnableSample$hima_top_probe$hima_sample_module_table$hima_sample_mult_table$certitude_reporter$nusym_debug$nusym$ssim_pop_thread$ssim_reset_load_thread$nusym_checker$ssim_randomize_uniqueId$ssim_random_light_instr$builtin_ffs$uvm_hdl_read$msv_is_analog_node$msv_get_voltage$msv_get_current$$crash$davinci_debug$davinci_property$davinci_store$davinci_load$davinci_push$davinci_pop$davinci_assign$davinci_encode$davinci_decode$davinci_mark$davinci_random$davinci_bitmark$davinci_set_trans_tag$davinci_transaction$davinci_start$davinci_end$davinci_enable$davinci_disable$$power_domain_corrupt_warn$fsim_inject$fsim_detect$fsim_autoinject$deactivate$fs_add$fs_add_attribute$fs_compare$fs_default_status$fs_delete$fs_delete_status$fs_detect_table$fs_dictionary$fs_disable_onevent$fs_drop_active$fs_drop_hyper$fs_drop_status$fs_drop_status_diverged$fs_drop_status_onevent$fs_faultfree$fs_faults$fs_get_status$fs_inject$fs_list$fs_loadStimFile$fs_model$fs_observe$fs_options$fs_pass$fs_read$fs_set_attribute$fs_set_status$fs_set_status_onevent$fs_show_attribute$fs_status$fs_strobe$$fs_strobe_z01x$fs_verify$fs_strobe_onevent$fs_disable_strobe_onevent$iddq_strobe$$add_to_graph$$bind_resource_to_graph$$dsl_eval_mustache$get_initial_random_seed$$pscal_alias$vcdpluson_internal$fsdbDumpvars_internal$$hwcosimEncodeSVString$$hwcosimDecodeBitStream$sdf_annotate)T  D@D@)))))))))))))))))))))))))))) \ ))))))@@)))       ))))))))))))))))))))))) ))))))))))T T ))T T ))T ))))T T T T T T )T T ))))T ))))T T @))))))))))))))) ))T )))))))))))))T T T T )))))))))))))))))))))))))))))))))))) ))T T T T T T ))))))T ))  D@D )  )T ))T T T T  )))))T T )))T T T  D T D T T T )D D D @@@@))))))))))) )) ) ))))T T T T T T @@@T T T T T T @))T D )))))))))  ))))))))))T T )))))))))))))))))))))))@D  )))))))))))))))T T ))))))))))D D D D   )))))))))))))))))))T ))))))T ))))))))))))T T ))))))))))))))))))))))T )))))) /share/instsww/synopsys-new/vcs/P-2019.06/linux64/bin/vcs1-Mcc=gcc-Mcplusplus=g++-Masflags=-Mcfl= -pipe -fPIC -O -I/share/instsww/synopsys-new/vcs/P-2019.06/include -Mxcflags= -pipe -fPIC -I/share/instsww/synopsys-new/vcs/P-2019.06/include-Mldflags= -rdynamic -Mout=alu_tb.tb-Mamsrun=-Mvcsaceobjs=-Mobjects= /share/instsww/synopsys-new/vcs/P-2019.06/linux64/lib/libvirsim.so /share/instsww/synopsys-new/vcs/P-2019.06/linux64/lib/liberrorinf.so /share/instsww/synopsys-new/vcs/P-2019.06/linux64/lib/libsnpsmalloc.so /share/instsww/synopsys-new/vcs/P-2019.06/linux64/lib/libvfs.so -Mexternalobj=-Msaverestoreobj=/share/instsww/synopsys-new/vcs/P-2019.06/linux64/lib/vcs_save_restore_new.o-Mcrt0=-Mcrtn=-Mcsrc=-Msyslibs=-ldl -full64-Xnotice=1-line+lint=all,noVCDE,noNS,noSVA-UA-timescale=1ns/10ps-Xcbug=0x1+define+ABS_TOP=/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware-oalu_tb.tb-topalu_tb-topglbl-picarchive+vcsd+itf+/share/instsww/synopsys-new/vcs/P-2019.06/linux64/lib/vcsdp.tab+cli+4-debug=4+memcbk-sverilog+vpi-gen_obj+incdir+/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/riscv_core/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/EECS151.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/clocks.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/io_circuits/button_parser.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/io_circuits/debouncer.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/io_circuits/edge_detector.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/io_circuits/fifo.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/io_circuits/synchronizer.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/io_circuits/uart.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/io_circuits/uart_receiver.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/io_circuits/uart_transmitter.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/memories/bios_mem.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/memories/dmem.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/memories/imem.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/riscv_core/alu.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/riscv_core/branch_comp.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/riscv_core/cpu.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/riscv_core/ctrl_logic.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/riscv_core/imm_gen.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/riscv_core/reg_file.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/src/z1top.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/sim_models/BUFG.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/sim_models/PLLE2_ADV.v/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/sim_models/glbl.valu_tb.vtimescale=1ns/10psP-2019.06_Full64pUsed=0,cpiProf=:,cpuPrEn=0,cpuPrSe=1,cpuPrSt=2:10,memProf=0,dumpDir=:,pPid=0,/share/instsww/synopsys-new/vcs/P-2019.06/linux645280@bisc.EECS.Berkeley.EDU:2100@license-srv.eecs.berkeley.edu:1717@license-srv.eecs.berkeley.edu27005@license-srv.eecs.berkeley.edu/share/instsww/synopsys-new/vcs/P-2019.06alu_tb.tbalu_tb.tb.daidir/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/sim/alu_tb.tb.learn/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/sim/alu_tb.tb.vdb/home/cc/eecs151/fa22/class/eecs151-abj/Documents/fa22_fpga_team06/hardware/simprofileReportsprof.samplesdummystdglblalu_tbdummydummydummystopfinishcontinue6   APd d!"d#d&p ,-345'M/()*+.^_268x9 N :;=?<@CBEDAFGHIJKLabcky!YDRk7QZ%Nhdef*+,-./34501267gOUV XYZltmnopqrsuv[\QRlmngiqrEstuzvwxy{|} IJKLPON~)5w8&] |S@@\ (ef^     "#< h'(^b cz{WXA@DEFGST_`aYdyjw}~@ @ `      ( 0 8 Px       ( @h px (  @0P`` x   P p      @ `      (  0  8  P (x    @    X   (  @ ph p x     0 `     PXp ! @"H`0#$%@&P0'8P`x()*p+ ,(-@p./00`1234  P5p867P8`@9`p:;0<8=P>55?56@(6X6Ax66B6(6C78H7Dh7H7E7P7F8X88GX8`8H8h8I8x(9JH9x9K99L9:M8:h:N::O:;P(;X;Qx;;R;;S<H<Th<(<U<@<V=P8=WX=`=X=x=Y=(>ZH>x>[>>\>?]8?h?^??_?@`(@(X@ax@8@b@P@cAhHAdhAxA?AA?B8BeXBBfBBgB(ChHCxCiCCjCDk8DhDlD(DmD8En(EPXEoxE`EpEpEqFxHFrhFFsFFtG8GuXGGvGGwG(HxHHxHyHHzHI{8IhI|II}I J~(J(XJxJ8JJHJKXHKhKhKKxKL8LXLLLLL(MHMxMMMMN8NhNN NN0O(O8XOxOHOOXOPhHPhPxPPPQ8QXQQQQQ(RHRxRRRRS8ShSSSST(TXTxTTT(TU0HUhU@UUHUVP8VXVXVVhVVx(WHWxWWWWX8XhXXXXY(YXYxYYYYZHZhZZZ Z[88[?X[H[[X[?[h(\?H\xx\?\\?\]?8]h]?]]?]^(^X^?x^^?^^_H_h_ __0_?`88`X`@`?`H`?`P(aHa`xaaxaab8bhbbbbc(cXcxccccdHdhdd?dd?e8eXe ee8eeP(fHfhxffffg8ghggggh(h Xhxh hh0 hiH Hihi` iix ij 8jXj jj jj (kHk xkk !kk8!l8lP!hllh!ll!m(m!Xmxm!mm!mn!Hnhn"nn@"noP"8oXo`"oop"oo"(pHp"xpp"pp"q8q"hqq"qq"r(r"Xrxr"rr"rs#Hshs#ss #st(#8tXt@#ttH#ttX#(uHuh#xuux#uu#v8v#hvv#vv#w(w#Xwxw#ww#wx#Hxhx$xx $xy($8yXy8$yyH$yyX$(zHz`$xzzh$zzx${8{$h{{${{$|(|$X|x|$||$|}$H}h}$}}$}~%8~X~%~ ~%~ ~(%( H8%x P% `% 8p%h%؀%(%Xx%ȁ%%Hh%%%8X&&؃(&(H0&xH&Ȅ`&8p&h&؅& (&X!x&"Ȇ&#&H$h&%&&&8'X&('؈)'(*H('x+@'ȉ,X'-8`'h-h'-؊'-('X.x'/ȋ'0'H1h'2'3(84X(5((؍68((7H@(x8H(Ȏ9X(:8h(h;x(<؏(=((X>x(?Ȑ(@(HAh(B(C(8DX)E )ؒF8)(?HH)x?X)ȓ?h)?8x)hG)Hؔ)I()XJx)Kȕ*L*HMh@*N`*Op*8PX*Q*ؗR*(SH*xT*ȘU*V8+hW+Xؙ +?(8+XYxH+ZȚ`+[x+H\h+]+[+8^X+_+؜`,(aH ,xb@,ȝcP,d8`,hex,f؞,g(,Xhx,iȟ,j,Hkh,l-m8-8nXH-oX-ءpp-(?H-x?-Ȣ?-q8-hr-sأ-t(.Xux0.vȤP.wx.Hxh.y.z.8{X.|.ئ}/(~H(/x?8/ȧ?@/8X/hp/?ب/(/Xx/ȩ//Hh/0(08?X00?@0ث?X0(?Hh0x?x0Ȭ?0?80h?0?ح0?(0X?x0?Ȯ0?1Hh101@18XP1`1ذx1(H1x1ȱ181h?1?ز1?(2Xx2?ȳ(2?82HhP2?h2?x28?X2?2ص?2(H2x?2ȶ?2?83h?3?ط(3(83X?xH3?ȸ`3?p3H?h3?3?38?X3?3غ3(?H3x?4Ȼ?48(4h@4?ؼP4?(h4X?x4?Ƚ44Hh4458?X5?(5ؿ?@5(HX5xx5P @`5@   0(@0PHpPX`hpx  P`Xp`phhhhhh@ P (@@5 uA(I@$I@P*-h