EECS151 / riscv-cpu / hardware / src / io_circuits / fifo.v
fifo.v
Raw
module fifo #(
    parameter data_width = 8,
    parameter fifo_depth = 32,
    parameter addr_width = $clog2(fifo_depth)
) (
    input clk, rst,

    // Write side
    input wr_en,
    input [data_width-1:0] din,
    output full,

    // Read side
    input rd_en,
    output [data_width-1:0] dout,
    output empty
);
    assign full = 1'b1;
    assign empty = 1'b0;
    assign dout = 0;
endmodule