EECS151 / riscv-cpu / software / bios151v3 / bios151v3.ld
bios151v3.ld
Raw
OUTPUT_ARCH( "riscv" )
ENTRY( _start )

SECTIONS
{
    . = 0x40000000;
    .text : {
        * (.start);
        * (.text);
    }
}